How can I view a variable in a process on the wave diagram or in the List window?

To view the a variable in a process, the procedure is:

  1. From the ModelSim vsim transcript window menu, choose View Structure.


  2. The Structure window will open.


  3. From the ModelSim vsim transcript window menu, choose View Process.


  4. The Process window will open.


  5. From the ModelSim vsim transcript window menu, choose View Variables.


  6. The Variables window will open.


  7. In the Structure window, click on the component which contains the process and variable that you are interested in viewing.


  8. In the Process window menu, choose View > In Region.


  9. The process(es) in the component selected in the Structure window will be displayed in the Process window.


  10. In the Process window, click on the process that contains the variable that you are interested in viewing.


  11. The variables in the process will be displayed in the Variables window.


  12. To view the variable on the wave diagram, click on the variable in the Variables window to select it, and from the Variables window menu, choose Add > Wave > Selected Variables.


  13. The variable will be displayed in the Wave window.


  14. To view a variable in the List window, from the Variables window, click on a variable to select it, and from the Variables window menu, choose Add > List > Selected Variables.


  15. The variable will be displayed in the List window.