How can I display signals from an entity used as a component in my design on the wave diagram?

To view signals in a particular entity on your wave diagram, the steps are:

  1. From the ModelSim vsim window menu, choose  View | Structure


  2. From the ModelSim vsim window menu, choose  View | Signals


  3. In the structure window, click on the entity which contains the signals you want on your wave diagram.  This will cause the signals in that entity to be displayed in the signals window.


  4. From the signals window menu, choose  Add | Wave | Signals in Region
    The signals will be displayed on the wave diagram.