module adder_variation1 (A, B, C, D, Sum1, Sum2); input [31:0] A, B; input [31:0] C, D; output [31:0] Sum1; output [31:0] Sum2; reg [31:0] Sum2; assign Sum1 = A + B; always @ (C or D) begin Sum2 = C + D; end endmodule